设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
订阅

最新回复

标题 版块/群组 作者 回复/查看 最后发表
易语言MASM置入代码比较小数型:大于,小于,大于等于,小于等于,等于,不等于(返回逻辑型)attach_img 易语言汇编置入代码 EAX 2023-12-4 073 EAX 2023-12-4 11:08
易语言实现 逻辑括号() 解决逻辑型判断加括号bug被省略优化无法编译问题 (返回逻辑型)attach_img 易语言源码 CNWTEPRG 2023-12-3 087 CNWTEPRG 2023-12-3 22:26
易语言MASM置入代码实现取小数型与双精度小数型的 负0/负零(返回小数型,双精度小数型)attach_img 易语言汇编置入代码 EAX 2023-12-1 083 EAX 2023-12-1 20:22
易语言实现将32位IEEE754格式编码小数型高精度无损转换还原到文本字符串 (返回文本型)attach_img 易语言源码 CNWTEPRG 2023-11-29 097 CNWTEPRG 2023-11-29 16:11
Quartus Verilog HDL/FPGA 无需reg寄存器(使用latch锁存器)实现将50Mhz二分频为25Mhzattach_img FPGA/CPLD HDL 2023-11-28 087 HDL 2023-11-28 20:30
Quartus Verilog HDL/FPGA 实现 CD4052/74HC4052 具有低导通泄漏电流2通道4:1模拟开关attach_img 74HC/74LS/CD4000IC HDL 2023-11-27 066 HDL 2023-11-27 15:51
Quartus Verilog HDL/FPGA 实现 CD4033/74HC4033 7段共阴数码管显示的10进制计数器attach_img 74HC/74LS/CD4000IC HDL 2023-11-26 063 HDL 2023-11-26 17:39
Quartus Verilog HDL/FPGA 实现 CD4060/74HC4060 14级纹波进位下降沿触发二进制计数器attach_img 74HC/74LS/CD4000IC HDL 2023-11-25 081 HDL 2023-11-25 11:59
Quartus Verilog HDL/FPGA 实现 CD4053/74HC4053 三路2通道模拟多路复用器/信号分离器attach_img 74HC/74LS/CD4000IC HDL 2023-11-24 086 HDL 2023-11-24 09:00
Quartus Verilog HDL/FPGA 实现 CD4016/74HC4016 单刀单掷 四路4通道 双向模拟开关attach_img 74HC/74LS/CD4000IC HDL 2023-11-23 061 HDL 2023-11-23 16:34
Quartus Verilog HDL/FPGA 实现 CD4026/74HC4026 7段共阴数码管显示的10进制计数器attach_img 74HC/74LS/CD4000IC HDL 2023-11-22 085 HDL 2023-11-22 10:05
Quartus Verilog HDL/FPGA 实现 CD4018/74HC4018 可预置1/N计数器 5位除以N计数器attach_img 74HC/74LS/CD4000IC HDL 2023-11-21 087 HDL 2023-11-21 09:20
Quartus Verilog HDL/FPGA 实现 CD4067/74HC4067 单路16通道模拟多路复用器信号分离器attach_img 74HC/74LS/CD4000IC HDL 2023-11-20 065 HDL 2023-11-20 07:05
Quartus Verilog HDL/FPGA 实现 CD4066/74HC4066 单刀单掷 四路4通道 双向模拟开关attach_img 74HC/74LS/CD4000IC HDL 2023-11-19 078 HDL 2023-11-19 10:27
Quartus Verilog HDL/FPGA 实现 CD4515/74HC4515 具有4位透明锁存器的4线至16线译码器attach_img 74HC/74LS/CD4000IC HDL 2023-11-18 098 HDL 2023-11-18 08:39
Quartus Verilog HDL/FPGA 实现 CD40106/74HC40106 具有施密特触发功能的六非门反相器attach_img 74HC/74LS/CD4000IC HDL 2023-11-17 091 HDL 2023-11-17 08:35
Quartus Verilog HDL/FPGA 实现 CD4510/74HC4510 十进制BCD可预置值的可逆加减计数器attach_img 74HC/74LS/CD4000IC HDL 2023-11-16 073 HDL 2023-11-16 18:47
Quartus Verilog HDL/FPGA 实现 CD4021/74HC4021 8位并行输入串行3输出异步移位寄存器attach_img 74HC/74LS/CD4000IC HDL 2023-11-13 095 HDL 2023-11-13 19:41
Quartus Verilog HDL/FPGA 实现 CD4076/74HC4076 具有三态输出带使能的四路D型触发器attach_img 74HC/74LS/CD4000IC HDL 2023-11-9 084 HDL 2023-11-9 20:53
Quartus Verilog HDL/FPGA 实现 CD4051/74HC4051 具有3态输出功能的单端8通道多路开关attach_img 74HC/74LS/CD4000IC HDL 2023-11-8 080 HDL 2023-11-8 16:28
Quartus Verilog HDL/FPGA 实现 CD4042/74HC4042 四通道4路带正反向输出的D类锁存器attach_img 74HC/74LS/CD4000IC HDL 2023-11-7 099 HDL 2023-11-7 18:46
易语言模拟键盘按键实现设置系统扬声器音频音量的加减/最大/静音/指定 (无返回值)attach_img 易语言源码 CNWTEPRG 2023-11-4 0168 CNWTEPRG 2023-11-4 20:14
Quartus Verilog HDL/FPGA 实现 CD4099/74HC4099 带使能与清零的8位可寻址透明锁存器attach_img 74HC/74LS/CD4000IC HDL 2023-11-1 097 HDL 2023-11-1 20:36
Quartus Verilog HDL/FPGA 实现 74HC159/74LS159 集电极(漏极)开路的4线至16线译码器attach_img 74HC/74LS/CD4000IC HDL 2023-10-29 0117 HDL 2023-10-29 20:24
Quartus Verilog HDL/FPGA 实现 74HC55/74LS55 二路 4-4 输入(八输入一输出) 与或非门attach_img 74HC/74LS/CD4000IC HDL 2023-10-25 0109 HDL 2023-10-25 10:52
Quartus Verilog HDL/FPGA 实现 CD4027/74HC4027 具有异步R-S功能两路上升沿J-K触发器attach_img 74HC/74LS/CD4000IC HDL 2023-10-24 087 HDL 2023-10-24 09:17
Quartus Verilog HDL/FPGA 实现 CD4006/74HC4006 18位静态移位寄存器 (下降沿触发)attach_img 74HC/74LS/CD4000IC HDL 2023-10-23 0108 HDL 2023-10-23 14:56
Quartus Verilog HDL/FPGA 实现 CD4014/74HC4014 8位并行输入串行3输出同步移位寄存器attach_img 74HC/74LS/CD4000IC HDL 2023-10-21 0108 HDL 2023-10-21 21:17
Dragon Ball Dragon Daihikyou (alpha 1.1)attach_img 最新FC/NES游戏 520 2023-10-19 0161 520 2023-10-19 20:21
易语言MASM置入代码实现 快速置文本长度 设置修改文本型变量为指定长度 (无返回值)attach_img 易语言汇编置入代码 EAX 2023-10-18 0136 EAX 2023-10-18 09:06
易语言MASM置入代码 置字节集长度() 快速取字节集左边 截断为指定长度 (返回逻辑型)attach_img 易语言汇编置入代码 EAX 2023-10-15 0131 EAX 2023-10-15 07:56
易语言实现 写到文件_追加 将字节集数据追加补充到指定文件尾部的位置 (返回逻辑型)attach_img 易语言源码 CNWTEPRG 2023-10-14 0143 CNWTEPRG 2023-10-14 07:52
易语言MASM置入代码实现文本型变量字符串的指针加1 以去除删除开头的1字节 (无返回值)attach_img 易语言汇编置入代码 EAX 2023-10-13 0141 EAX 2023-10-13 20:52
易语言 无需数组查表 实现快速取随机汉字 随机生成指定数量中文汉字文字 (返回文本型)attach_img 易语言源码 CNWTEPRG 2023-10-11 0149 CNWTEPRG 2023-10-11 20:09
易语言MASM置入代码实现将文本型变量字符串首字母(第一个字母)的大小写转换(无返回值)attach_img 易语言汇编置入代码 EAX 2023-10-8 0139 EAX 2023-10-8 17:16
易语言MASM置入代码实现将文本型变量快速清空 清除为0字节 零字节空白文本 (无返回值)attach_img 易语言汇编置入代码 EAX 2023-10-6 0142 EAX 2023-10-6 08:49
Quartus Verilog HDL/FPGA 实现驱动 EC11 增量式360度旋转编码器 并演示3位二进制加减attach_img FPGA/CPLD HDL 2023-10-4 0191 HDL 2023-10-4 10:51
易语言MASM置入代码实现将GBK编码值:1~65535 还原为汉字、符号 (返回文本型:1~2字节)attach_img 易语言汇编置入代码 EAX 2023-10-1 0134 EAX 2023-10-1 19:25
易语言实现 取磁盘剩余空间最多的盘符 返回可用空间容量最多的盘符 (返回:A~Z,文本型)attach_img 易语言源码 CNWTEPRG 2023-9-29 0154 CNWTEPRG 2023-9-29 19:51
易语言MASM置入代码取文本型汉字、符号的GBK编码 (兼容GB2312/GB18030) (返回整数型)attach_img 易语言汇编置入代码 EAX 2023-9-27 0131 EAX 2023-9-27 07:45
Quartus Verilog HDL/FPGA 实现 十六进制(16进制)共阴7段数码管段码译码器 (带小数点)attach_img FPGA/CPLD HDL 2023-9-24 0114 HDL 2023-9-24 17:29
易语言 删除文件增强版 保密文件的填充随机数据擦除 以防止数据恢复找回 (返回逻辑型)attach_img 易语言源码 CNWTEPRG 2023-9-23 0131 CNWTEPRG 2023-9-23 18:44
易语言实现改变位图高度(不改变宽度)将任意比例位图转换成3:4 三比四比例(返回逻辑型)attach_img 易语言源码 CNWTEPRG 2023-9-21 0176 CNWTEPRG 2023-9-21 18:45
易语言MASM置入代码判断文本型字符串是否包含双字节字符(汉字,全角符号等,返回逻辑型)attach_img 易语言汇编置入代码 EAX 2023-9-20 0112 EAX 2023-9-20 11:03
易语言实现取文件时间与现行(系统)时间与有效期秒 检查文件是否已经过期 (返回逻辑型)attach_img 易语言源码 CNWTEPRG 2023-9-16 0113 CNWTEPRG 2023-9-16 18:29
易语言MASM置入代码实现 取文本字数() 统计字符串字数 回车换行符不计数 (返回整数型)attach_img 易语言汇编置入代码 EAX 2023-9-12 0119 EAX 2023-9-12 19:59
易语言判断文本型字符串和字节集 是否包含 日本汉字 日语文字 日文符号 (返回逻辑型)attach_img 易语言源码 CNWTEPRG 2023-9-9 0147 CNWTEPRG 2023-9-9 20:26
易语言 实现 取随机日文() 随机生成一个或多个日本汉字 日语文字符号 (返回文本型)attach_img 易语言源码 CNWTEPRG 2023-9-8 0120 CNWTEPRG 2023-9-8 07:44
Quartus Verilog HDL/FPGA 实现 CD4094/74HC4094 具有三态输出八级移位存储总线寄存器attach_img 74HC/74LS/CD4000IC HDL 2023-9-6 0102 HDL 2023-9-6 20:40
997套精品卡通不重复百度手机输入法皮肤本地打包下载 (BDS/BDT格式,7z压缩,共414Mb)推荐 attach_img  ...23 打包下载 123 2019-5-24 206814 green 2023-9-5 21:04

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-3-28 17:15 , Processed in 0.650038 second(s), 12 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

返回顶部