设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 685|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC238/74LS238 三线 - 八线译码器芯片电路源码

[复制链接]
发表于 2022-2-28 07:46:17 | 显示全部楼层 |阅读模式
main.png
  1. module hc238(        //74HC238
  2.         input A,                //译码输入 Pin1
  3.         input B,                //译码输入 Pin2
  4.         input C,                //译码输入 Pin3
  5.         input E1,        //片选 Pin4(0)
  6.         input E2,        //片选 Pin5(0)
  7.         input E3,        //片选 Pin6(1)
  8.         output [7:0] Y                //译码输出
  9. );

  10. reg [7:0] y;
  11. assign Y = y;
  12. always @(*) begin
  13.         if({E1,E2,E3} == 3'b001) begin
  14.                 y = 8'b1 << {C,B,A};
  15.         end else begin
  16.                 y = 8'h00;
  17.         end
  18. end
  19. endmodule

  20. module main(
  21.         input clk,
  22.         output reg led
  23. );
  24. wire [7:0] Y;
  25. hc238 hc238(
  26.         .A(1),
  27.         .B(1),
  28.         .C(1),
  29.         .E1(0),
  30.         .E2(0),
  31.         .E3(1),
  32.         .Y(Y)
  33. );
  34. always @(posedge clk) begin
  35.         if(Y == 8'b10000000) begin
  36.                 led = 0;
  37.         end else begin
  38.                 led = 1;
  39.         end
  40. end
  41. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-19 20:48 , Processed in 1.324076 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表