|
- module hc238( //74HC238
- input A, //译码输入 Pin1
- input B, //译码输入 Pin2
- input C, //译码输入 Pin3
- input E1, //片选 Pin4(0)
- input E2, //片选 Pin5(0)
- input E3, //片选 Pin6(1)
- output [7:0] Y //译码输出
- );
- reg [7:0] y;
- assign Y = y;
- always @(*) begin
- if({E1,E2,E3} == 3'b001) begin
- y = 8'b1 << {C,B,A};
- end else begin
- y = 8'h00;
- end
- end
- endmodule
- module main(
- input clk,
- output reg led
- );
- wire [7:0] Y;
- hc238 hc238(
- .A(1),
- .B(1),
- .C(1),
- .E1(0),
- .E2(0),
- .E3(1),
- .Y(Y)
- );
- always @(posedge clk) begin
- if(Y == 8'b10000000) begin
- led = 0;
- end else begin
- led = 1;
- end
- end
- endmodule
复制代码 |
|