设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 841|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC280/74LS280 9位奇偶校验发生器/校验器

[复制链接]
发表于 2022-6-1 17:37:26 | 显示全部楼层 |阅读模式
main.png
  1. module hc280(                        //74HC280/74LS280 9位奇偶校验发生器/校验器
  2.         input [8:0] D,                //9位数据输入 D8~D0 Pin:4,2,1,13,12,11,10,9,8
  3.         output EVEN,                //Pin5        ODD的反向输出
  4.         output ODD                        //Pin6         9位数据 二进制1的数量为奇数,输出1,否则0
  5. );

  6. assign ODD = ^D;
  7. assign EVEN = !ODD;

  8. endmodule

  9. module main(
  10.         input clk,
  11.         output reg led
  12. );

  13. wire EVEN;
  14. wire ODD;

  15. hc280 U1(
  16.         .D(9'b101010101),
  17.         .EVEN(EVEN),
  18.         .ODD(ODD)
  19. );

  20. always @(posedge clk) begin
  21.         if(EVEN == 0 && ODD == 1) begin
  22.                 led <= 0;
  23.         end else begin
  24.                 led <= 1;
  25.         end
  26. end
  27. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-18 22:24 , Processed in 0.522030 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表