设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 667|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 CD4077 四组二输入端同或门 (异或非门) 芯片电路源码

[复制链接]
发表于 2022-6-21 20:50:52 | 显示全部楼层 |阅读模式
main.png
  1. module cd4077(                        //CD4077 四组二输入端同或门 (异或非门) VCC:Pin14 GND:Pin7
  2.         input inA1,                        //A组输入 Pin1
  3.         input inA2,                        //A组输入 Pin2
  4.         input inB1,                        //B组输入 Pin5
  5.         input inB2,                        //B组输入 Pin6
  6.         input inC1,                        //C组输入 Pin8
  7.         input inC2,                        //C组输入 Pin9
  8.         input inD1,                        //D组输入 Pin12
  9.         input inD2,                        //D组输入 Pin13
  10.         output outA,                //A组输出 Pin3
  11.         output outB,                //B组输出 Pin4
  12.         output outC,                //C组输出 Pin10
  13.         output outD                        //D组输出 Pin11
  14. );
  15. assign {outA,outB,outC,outD} = ~(
  16.         {inA1,inB1,inC1,inD1} ^ {inA2,inB2,inC2,inD2}
  17. );

  18. endmodule

  19. module main(
  20.         input clk,
  21.         output reg led
  22. );

  23. wire [3:0] out;

  24. cd4077 U1(
  25.         .inA1(1),
  26.         .inA2(1),
  27.         .inB1(1),
  28.         .inB2(0),
  29.         .inC1(1),
  30.         .inC2(1),
  31.         .inD1(1),
  32.         .inD2(0),
  33.         .outA(out[3]),
  34.         .outB(out[2]),
  35.         .outC(out[1]),
  36.         .outD(out[0]),       
  37. );

  38. always @(posedge clk) begin
  39.         if(out == 4'b1010) begin
  40.                 led <= 1'b0;
  41.         end else begin
  42.                 led <= 1'b1;
  43.         end
  44. end
  45. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-25 18:46 , Processed in 1.823105 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表