设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 672|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 CD4008 四位二进制带进位输入输出的全加器 (加法器)

[复制链接]
发表于 2022-7-1 20:49:11 | 显示全部楼层 |阅读模式
main.png
  1. module cd4008(                //CD4008 四位二进制带进位输入输出全加器(加法器) VCC:Pin16 GND:Pin8
  2.         input A1,                //Pin7 被加数
  3.         input A2,                //Pin5 被加数
  4.         input A3,                //Pin3 被加数
  5.         input A4,                //Pin1 被加数
  6.         input B1,                //Pin6 加数
  7.         input B2,                //Pin4 加数
  8.         input B3,                //Pin2 加数
  9.         input B4,                //Pin15 加数
  10.         input CI,                //Pin9 进位输入
  11.         output S1,                //Pin10 和
  12.         output S2,                //Pin11 和
  13.         output S3,                //Pin12 和
  14.         output S4,                //Pin13 和
  15.         output CO                //Pin14 进位输出

  16. );
  17. assign {CO,S4,S3,S2,S1} = {A4,A3,A2,A1} + {B4,B3,B2,B1} + CI;
  18. endmodule

  19. module main(
  20.         input clk,
  21.         output reg led
  22. );
  23. reg [3:0] A;
  24. reg [3:0] B;
  25. reg CI;
  26. wire [3:0] S;
  27. wire CO;
  28. cd4008 U1(
  29.         .A1(A[0]),
  30.         .A2(A[1]),
  31.         .A3(A[2]),
  32.         .A4(A[3]),
  33.         .B1(B[0]),
  34.         .B2(B[1]),
  35.         .B3(B[2]),
  36.         .B4(B[3]),
  37.         .CI(CI),
  38.         .S1(S[0]),
  39.         .S2(S[1]),
  40.         .S3(S[2]),
  41.         .S4(S[3]),
  42.         .CO(CO)
  43. );

  44. always @(posedge clk) begin
  45.         A = 4'd15;
  46.         B = 4'd10;
  47.         CI = 0;
  48.         if(S == 4'd9 && CO == 1) begin
  49.                 led <= 0;
  50.         end else begin
  51.                 led <= 1;
  52.         end
  53. end
  54. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-25 16:26 , Processed in 0.841048 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表