设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 761|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实例化多个10分频模块将50Mhz时钟分频到:5Mhz/500Khz/50Khz

[复制链接]
发表于 2022-8-30 09:57:08 | 显示全部楼层 |阅读模式
main.png
  1. module clk_div10(                        //10分频模块
  2.         input in,                                //时钟输入 输入10hz,输出1hz,以此类推...
  3.         output reg out                        //时钟输出
  4. );
  5. reg [2:0] i;
  6. always @(posedge in) begin
  7.         i = i + 1'b1;
  8.         if(i >= 5) begin
  9.                 i = 0;
  10.                 out <= !out;
  11.         end
  12. end
  13. endmodule

  14. module main(
  15.         input clk,                        //50Mhz时钟输入 Pin17
  16.         output out                        //50Khz时钟输出 Pin3
  17. );
  18. wire Mhz50 = clk;        //50Mhz
  19. wire Mhz5;                        //5Mhz
  20. wire Khz500;                //500Khz
  21. wire Khz50;                        //50Khz

  22. clk_div10 u1(
  23.         .in(Mhz50),
  24.         .out(Mhz5)
  25. );

  26. clk_div10 u2(
  27.         .in(Mhz5),
  28.         .out(Khz500)
  29. );

  30. clk_div10 u3(
  31.         .in(Khz500),
  32.         .out(Khz50)
  33. );

  34. assign out = Khz50;
  35. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-19 06:06 , Processed in 0.458026 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表