设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 609|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实例化时钟5分频模块 实现将50Mhz分频为:10Mhz,2Mhz,400Khz

[复制链接]
发表于 2022-10-25 15:35:49 | 显示全部楼层 |阅读模式
main.png
  1. module CLK_div5(                //时钟5分频模块
  2.         input in,                        //5分频时钟输入
  3.         output reg out                //5分频时钟输出
  4. );
  5. reg Q = 1'b0;
  6. wire x2 = Q ^ in;
  7. reg [2:0] i = 3'b0;
  8. always @(posedge x2) begin
  9.         Q <= !Q;
  10.         i = i + 3'b1;
  11.         if(i == 5) begin
  12.                 i = 3'b0;
  13.                 out <= !out;
  14.         end
  15. end
  16. endmodule

  17. module main(
  18.         input clk,                //50Mhz时钟输入 Pin17
  19.         output out,                //10Mhz时钟输出 Pin40
  20.         output out2,        //2Mhz时钟输出 Pin42
  21.         output out3                //400Khz时钟输出 Pin44
  22. );

  23. CLK_div5 u1(                //模块实例化
  24.         .in(clk),                //50Mhz
  25.         .out(out)                //10Mhz
  26. );

  27. CLK_div5 u2(
  28.         .in(out),                //10Mhz
  29.         .out(out2)                //2Mhz
  30. );

  31. CLK_div5 u3(
  32.         .in(out2),                //2Mhz
  33.         .out(out3)                //400Khz
  34. );
  35. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-20 17:51 , Processed in 0.432025 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表