设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 534|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 方波驱动无源蜂鸣器实现发出120救护车的声音

[复制链接]
发表于 2023-1-11 20:16:11 | 显示全部楼层 |阅读模式
main.png
  1. `define fosc 50000000                //晶振频率 单位Hz

  2. module main(
  3.         input clk,                //50Mhz有源晶振 Pin17
  4.         output beep                //蜂鸣器 Pin40
  5. );

  6. reg high;        //高音 1Khz
  7. reg low;                //低音 800Khz
  8. reg hl;                //高低音控制位 1:高音 0:低音 (0.5Hz)
  9. integer i,j,k;
  10. assign beep = hl ? high : low;

  11. always @(posedge clk) begin
  12.         i = i + 1;
  13.         if(i >= `fosc/1000/2) begin
  14.                 i = 0;
  15.                 high <= !high;
  16.         end
  17.         j = j + 1;
  18.         if(j >= `fosc/800/2) begin
  19.                 j = 0;
  20.                 low <= !low;
  21.         end
  22.         k = k + 1;
  23.         if(k >= `fosc) begin
  24.                 k = 0;
  25.                 hl <= !hl;
  26.         end
  27. end
  28. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-20 08:32 , Processed in 0.584034 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表