设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 239|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 组合逻辑门模块实例化判断一个8位宽字节值是否等于50或250

[复制链接]
发表于 2023-2-26 19:26:22 | 显示全部楼层 |阅读模式
main.png
  1. module equ_50or250(        //字节等于50或250模块
  2.         input [7:0] D,                //8位宽字节输入
  3.         output Q                                //等于50或250 输出高电平,否则低电平
  4. );
  5.         assign Q = (D == 8'd50 || D == 8'd250) ? 1'd1 : 1'd0;
  6. endmodule

  7. module main(
  8.         input clk,
  9.         output reg led
  10. );

  11. wire Q;

  12. equ_50or250 U1(
  13.         .D(8'd250),
  14.         .Q(Q)
  15. );

  16. always @(posedge clk) begin
  17.         led <= !Q;
  18. end
  19. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-20 02:45 , Processed in 0.597034 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表