设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 388|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现8位带进位输入与输出功能的二进制全加器 加法器电路

[复制链接]
发表于 2023-3-13 08:15:33 | 显示全部楼层 |阅读模式
本帖最后由 HDL 于 2023-3-13 08:15 编辑

main.png
  1. module bit8_full_adder(        //8位带进位输入与输出功能的二进制全加器 加法器电路
  2.         input [7:0] Ain,                //[8位宽]被加数
  3.         input [7:0] Bin,                //[8位宽]加数
  4.         input Cin,                                //进位输入
  5.         output [7:0] Sum,                //和
  6.         output Cou                                //进位输出
  7. );
  8.         assign {Cou,Sum} = Ain + Bin + Cin;
  9. endmodule

  10. module main(
  11.         input clk,                                //时钟输入
  12.         output reg led                        //LED 低电平(计算正确) 点亮
  13. );
  14. wire [7:0] A = 8'd18;
  15. wire [7:0] B = 8'd69;
  16. wire C = 1'd1;

  17. wire [7:0] Sum;
  18. bit8_full_adder U1(
  19.         .Ain(A),
  20.         .Bin(B),
  21.         .Cin(C),
  22.         .Sum(Sum),
  23.         .Cou()
  24. );
  25. always @(posedge clk) begin
  26.         if(Sum == (A+B+C)) begin
  27.                 led <= 1'd0;
  28.         end else begin
  29.                 led <= 1'd1;
  30.         end
  31. end
  32. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-20 14:43 , Processed in 0.440025 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表