设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 166|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC4015/74LS4015/CD4015 双路4级静态移位寄存器

[复制链接]
发表于 2023-4-10 15:17:39 | 显示全部楼层 |阅读模式
main.png
  1. module cd4015_son(                //CD4015子模块
  2.         input D,                //移位数据
  3.         input CLK,        //移位时钟 (上升沿触发)
  4.         input R,                //异步上升沿清零
  5.         output reg [3:0] Q        //[4位宽]移位锁存
  6. );
  7. initial Q = 4'b0;
  8. always @(posedge CLK or posedge R) begin
  9.         if(R) begin
  10.                 Q <= 4'b0;
  11.         end else begin
  12.                 Q <= (Q << 4'b1) | D;
  13.         end
  14. end
  15. endmodule
  16. module cd4015(                //双路4级静态移位寄存器 VCC:Pin16 GND:Pin8
  17.         //A组
  18.         input D_A,                                //移位数据 Pin15
  19.         input CLK_A,                        //移位时钟 (上升沿触发) Pin1
  20.         input R_A,                                //异步上升沿清零 Pin14
  21.         output [3:0] Q_A,                //[4位宽]移位锁存 Pin:2,11,12,13
  22.         //B组
  23.         input D_B,                //Pin7
  24.         input CLK_B,        //Pin9
  25.         input R_B,                //Pin6
  26.         output [3:0] Q_B                //Pin:10,3,4,5
  27. );
  28. cd4015_son A(
  29.         .D(D_A),
  30.         .CLK(CLK_A),
  31.         .R(R_A),
  32.         .Q(Q_A)
  33. );
  34. cd4015_son B(
  35.         .D(D_B),
  36.         .CLK(CLK_B),
  37.         .R(R_B),
  38.         .Q(Q_B)
  39. );
  40. endmodule

  41. module main(
  42.         input clk,
  43.         output out
  44. );
  45. wire [7:0] z;
  46. cd4015 U1(
  47.         .D_A(~z[5]),
  48.         .CLK_A(clk),
  49.         .R_A(1'b0),
  50.         .Q_A(z[7:4]),
  51.         .D_B(z[7]),
  52.         .CLK_B(clk),
  53.         .R_B(1'b0),
  54.         .Q_B(z[3:0])
  55. );
  56. assign out = z[3];
  57. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-16 17:38 , Processed in 0.478027 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表