设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 182|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC374/74LS374 具有三态输出的八路边沿D类触发器

[复制链接]
发表于 2023-4-17 16:12:17 | 显示全部楼层 |阅读模式
main.png
  1. module hc374(                //具有三态输出的八路边沿D类触发器 VCC:Pin20 GND:Pin10
  2.         input [7:0] D,        //锁存输入D Pin:18,17,14,13,8,7,4,3
  3.         input OE,                //低电平使能输出 高电平高阻输出 Pin1
  4.         input CLK,                //时钟(上升沿触发) Pin11
  5.         output [7:0] Q        //锁存输出Q Pin:19,16,15,12,9,6,5,2
  6. );
  7. reg [7:0] R = 8'd0;
  8. assign Q = OE ? 8'bz : R;
  9. always @(posedge CLK) begin
  10.         R <= D;
  11. end
  12. endmodule

  13. module main(
  14.         input clk,                //50Mhz输入 Pin17
  15.         output out                //256分频输出 Pin40
  16. );
  17. wire [7:0] i;
  18. hc374 U1(
  19.         .D(i + 8'd1),
  20.         .OE(1'b0),
  21.         .CLK(clk),
  22.         .Q(i)
  23. );
  24. assign out = i[7];
  25. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-20 03:19 , Processed in 0.481027 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表