设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 156|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC148/74LS148 8线 - 3线优先编码器 (反向输入输出)

[复制链接]
发表于 2023-5-6 09:00:17 | 显示全部楼层 |阅读模式
main.png
  1. module hc148(                                        //8线 - 3线优先编码器 (反向输入输出) VCC:Pin16 GND:Pin8
  2.         input [7:0] D,                                //[8位宽]编码输入 Pin:4,3,2,1,13,12,11,10
  3.         input EI,                                        //选通输入 (低电平使能) Pin5
  4.         output reg [2:0] A,                //[3位宽]编码输出 Pin:6,7,9
  5.         output GS,                                        //有编码输入则输出低电平 Pin14
  6.         output EO                                        //选通输出 Pin15
  7. );
  8. reg [2:0] b;
  9. assign GS = EI | (&D);
  10. assign EO = |{EI,~D};
  11. always @(*) begin
  12.         A = 3'd7;
  13.         b = 3'd0;
  14.         if(!EI) begin
  15.                 repeat(8) begin
  16.                         if(!D[b]) A = ~b;
  17.                         b = b + 3'd1;
  18.                 end
  19.         end
  20. end
  21. endmodule

  22. module main(
  23.         input clk,                                        //50Mhz 有源晶振 Pin17
  24.         //接逻辑分析仪
  25.         output [2:0] A,
  26.         output GS,
  27.         output EO,
  28.         output reg clkB                        //50Khz输出
  29. );
  30. //50Mhz分频50Khz
  31. reg [7:0] D = 8'd0;
  32. integer i;
  33. always @(posedge clk) begin
  34.         i = i + 1;
  35.         if(i >= 500) begin
  36.                 i = 0;
  37.                 clkB <= !clkB;
  38.         end
  39. end

  40. hc148 U1(
  41.         .D(D),
  42.         .EI(1'b0),
  43.         .A(A),
  44.         .GS(GS),
  45.         .EO(EO)
  46. );

  47. always @(posedge clkB) begin
  48.         D <= D + 8'd1;
  49. end
  50. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-20 16:47 , Processed in 0.525030 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表