设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 141|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 CD4049 / 74HC4049 六通道 非门反相缓冲器/线路驱动器

[复制链接]
发表于 2023-5-22 08:09:59 | 显示全部楼层 |阅读模式
main.png
  1. module cd4049(                //六通道 非门反相缓冲器/线路驱动器 VCC:Pin1 GND:Pin8 NC:Pin13,16
  2.         //通道1
  3.         input A1,                //非门输入 Pin3
  4.         output Y1,                //非门输出 Pin2
  5.         //通道2
  6.         input A2,                //非门输入 Pin5
  7.         output Y2,                //非门输出 Pin4
  8.         //通道3
  9.         input A3,                //非门输入 Pin7
  10.         output Y3,                //非门输出 Pin6
  11.         //通道4
  12.         input A4,                //非门输入 Pin9
  13.         output Y4,                //非门输出 Pin10
  14.         //通道5       
  15.         input A5,                //非门输入 Pin11
  16.         output Y5,                //非门输出 Pin12
  17.         //通道6
  18.         input A6,                //非门输入 Pin14
  19.         output Y6                //非门输出 Pin15
  20. );
  21. assign {Y1,Y2,Y3,Y4,Y5,Y6} = ~{A1,A2,A3,A4,A5,A6};
  22. endmodule

  23. module main(
  24.         input clk,
  25.         output reg led                //低电平(计算正确)点亮
  26. );
  27. reg [5:0] A;
  28. wire [5:0] Y;

  29. cd4049 U1(
  30.         .A1(A[0]),
  31.         .A2(A[1]),
  32.         .A3(A[2]),
  33.         .A4(A[3]),
  34.         .A5(A[4]),
  35.         .A6(A[5]),
  36.         .Y1(Y[0]),
  37.         .Y2(Y[1]),
  38.         .Y3(Y[2]),
  39.         .Y4(Y[3]),
  40.         .Y5(Y[4]),
  41.         .Y6(Y[5])
  42. );

  43. always @(posedge clk) begin
  44.         if(~A == Y) begin
  45.                 led <= 1'b0;
  46.         end else begin
  47.                 led <= 1'b1;
  48.         end
  49.         A <= A + 6'd1;
  50. end

  51. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-3-28 18:48 , Processed in 0.516029 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表