设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 95|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC92/74LS92 具有清零复位功能的下降沿12分频计数器

[复制链接]
发表于 2023-12-28 08:24:26 | 显示全部楼层 |阅读模式
main.png
  1. module hc92(                //具有清零复位功能的下降沿12分频计数器 VCC:Pin5 GND:Pin10 未使用Pin:2,3,4,13
  2.         input CLKA,                //下降沿QA翻转 Pin14
  3.         input CLKB,                //下降沿计数QB,QC,QD 范围:0~6 (2跳到4,没有3) Pin1
  4.         input R01,                //复位控制端R01 Pin6
  5.         input R02,                //复位控制端R02 (R01与R02异步高电平则清零复位)Pin7
  6.         output reg QA,        //输出QA (CLKA下降沿翻转电平) Pin12
  7.         output QB,                //输出QB Pin11
  8.         output QC,                //输出QC Pin9
  9.         output QD                //输出QD Pin8
  10. );

  11. initial QA = 1'b0;
  12. reg [2:0] i = 3'd0;
  13. assign {QD,QC,QB} = i;
  14. wire RST = (R01 & R02);

  15. always @(negedge CLKA or posedge RST) begin
  16.         QA <= RST ? 1'b0 : !QA;
  17. end

  18. always @(negedge CLKB or posedge RST) begin
  19.         if(RST) begin
  20.                 i <= 3'd0;
  21.         end else begin
  22.                 if(i == 3'd6) begin
  23.                         i <= 3'd0;
  24.                 end else begin
  25.                         if(i == 3'd2) begin
  26.                                 i <= 3'd4;
  27.                         end else begin
  28.                                 i <= i + 3'd1;
  29.                         end
  30.                 end
  31.         end
  32. end

  33. endmodule

  34. module main(
  35.         input clk,                //12Mhz有源晶振输入 Pin18
  36.         output out                //1Mhz(12分频50%占空比)输出 Pin8
  37. );

  38. wire QD;
  39. hc92 U1(
  40.         .CLKA(QD),
  41.         .CLKB(clk),
  42.         .R01(1'b0),
  43.         .R02(1'b0),
  44.         .QA(out),
  45.         .QB(),
  46.         .QC(),
  47.         .QD(QD)
  48. );

  49. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-27 15:31 , Processed in 0.905052 second(s), 23 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表