设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 101|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC166/74LS166 具有异步复位8位并入串出移位寄存器

[复制链接]
发表于 2024-1-4 18:34:13 | 显示全部楼层 |阅读模式
main.png
  1. module hc166(                //具有异步复位8位并入串出移位寄存器 VCC:Pin16 GND:Pin8
  2.         input SI,                //串行移位输入 (接上一片的SO,第一片接地) Pin1
  3.         input [7:0] D,        //[8位并行输入] Pin:14,12,11,10,5,4,3,2
  4.         input CLK,                //上升沿串行移位 (必须SHLD高电平且INH低电平) Pin7
  5.         input INH,                //低电平使能CLK Pin6
  6.         input SHLD,                //低电平:异步并行输入寄存器 高电平:寄存器串行移位 Pin15
  7.         input MR,                //异步低电平复位 Pin9
  8.         output SO                //串行移位输出 (接控制芯片或下一片的SI) Pin13
  9. );
  10. reg [7:0] Q;                //数据移位寄存器
  11. wire [7:0] R = SHLD ? 8'd0 : ~D;
  12. wire [7:0] S = SHLD ? 8'd0 : D;
  13. assign SO = Q[7];
  14. genvar i;
  15. generate
  16. for(i=0;i<8;i=i+1) begin:gen
  17.         always @(posedge R[i] or posedge S[i] or posedge CLK or negedge MR) begin
  18.                 if(!MR || R[i]) begin
  19.                         Q[i] <= 1'b0;
  20.                 end else if(S[i]) begin
  21.                         Q[i] <= 1'b1;
  22.                 end else if(!INH) begin
  23.                         Q[i] <= i ? Q[i-1] : SI;
  24.                 end
  25.         end
  26. end
  27. endgenerate
  28. endmodule

  29. module main(
  30.         input SI,
  31.         input [7:0] D,
  32.         input CLK,
  33.         input INH,
  34.         input SHLD,
  35.         input MR,
  36.         output SO
  37. );

  38. hc166 hc166(
  39.         .SI(SI),
  40.         .D(D),
  41.         .CLK(CLK),
  42.         .INH(INH),
  43.         .SHLD(SHLD),
  44.         .MR(MR),
  45.         .SO(SO)
  46. );

  47. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-27 14:23 , Processed in 0.886050 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表