设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 109|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 无需reg寄存器(使用latch锁存器)实现将50Mhz二分频为25Mhz

[复制链接]
发表于 2023-11-28 20:30:29 | 显示全部楼层 |阅读模式
main.png
  1. module latch_reg(                //latch型reg寄存器
  2.         input D,                //锁存输入
  3.         input CLK,        //上升沿将D打入Q
  4.         output Q                //锁存输出
  5. );

  6. wire P = CLK ? P : D;
  7. assign Q = CLK ? P : Q;
  8. endmodule

  9. module main(
  10.         input clk,                //50Mhz Pin17
  11.         output out                //二分频输出(25Mhz) Pin40
  12. );

  13. latch_reg reg1(
  14.         .D(!out),
  15.         .CLK(clk),
  16.         .Q(out)
  17. );

  18. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-27 14:31 , Processed in 0.910052 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表