设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 99|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC96/74LS96 异步复位与预设输入的5位移位寄存器

[复制链接]
发表于 2023-12-26 15:50:28 | 显示全部楼层 |阅读模式
main.png
  1. module hc96(                //异步复位与预设输入的5位移位寄存器 VCC:Pin5 GND:Pin12
  2.         input SI,                //移位输入 Pin9
  3.         input [4:0] D,        //[5位宽] 预设值输入 Pin:7,6,4,3,2
  4.         input CLK,                //上升沿移位时钟 Pin1
  5.         input PE,                //异步高电平:预设模式(禁止移位) 低电平:允许移位 Pin8
  6.         input MR,                //异步低电平:Q清零(复位) Pin16
  7.         output reg [4:0] Q        //[5位宽] 移位输出 Pin:10,11,13,14,15
  8. );

  9. initial Q = ~5'd0;
  10. wire [4:0] j;
  11. genvar i;
  12. generate
  13.         for(i=0;i<5;i=i+1) begin:k
  14.                 assign j[i] = PE & D[i];
  15.                 always @(posedge CLK or posedge j[i] or negedge MR) begin
  16.                         if(!MR) begin
  17.                                 Q[i] <= 1'b0;
  18.                         end else if(j[i]) begin
  19.                                 Q[i] <= 1'b1;
  20.                         end else if(CLK && !PE) begin
  21.                                 if(i == 0) begin
  22.                                         Q[i] <= SI;
  23.                                 end else begin
  24.                                         Q[i] <= Q[i-1];
  25.                                 end
  26.                         end
  27.                 end
  28.         end
  29. endgenerate
  30. endmodule

  31. module main(
  32.         input SI,
  33.         input [4:0] D,
  34.         input CLK,
  35.         input PE,
  36.         input MR,
  37.         output [4:0] Q
  38. );

  39. hc96 hc96(
  40.         .SI(SI),
  41.         .D(D),
  42.         .CLK(CLK),
  43.         .PE(PE),
  44.         .MR(MR),
  45.         .Q(Q)
  46. );

  47. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-27 20:11 , Processed in 0.898052 second(s), 23 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表