设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 138|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 CD4006/74HC4006 18位静态移位寄存器 (下降沿触发)

[复制链接]
发表于 2023-10-23 14:56:42 | 显示全部楼层 |阅读模式
main.png
  1. module cd4006(                        //18位静态移位寄存器 (下降沿触发) VCC:Pin14 GND:Pin7 空引脚:Pin2
  2.         input D1,                        //输入D1        Pin1
  3.         input D2,                        //输入D2        Pin4
  4.         input D3,                        //输入D3        Pin5
  5.         input D4,                        //输入D4        Pin6
  6.         input CLK,                        //CLK时钟(下降沿打一拍) Pin3
  7.         output reg D14,        //D1+4 D1滞后4拍(级联4个D触发器) Pin13
  8.         output reg D24,        //D2+4 D2滞后4拍        Pin11
  9.         output reg D25,        //D2+5 D2滞后5拍        Pin12
  10.         output reg D34,        //D3+4 D3滞后4拍        Pin10
  11.         output reg D44,        //D4+4 D4滞后4拍        Pin8
  12.         output reg D45                //D4+5 D4滞后5拍        Pin9
  13. );
  14. initial {D14,D24,D25,D34,D44,D45} = 6'd0;

  15. reg D13,D12,D11;
  16. initial {D13,D12,D11} = 3'd0;
  17. always @(negedge CLK) begin
  18.         D11 <= D1;
  19.         D12 <= D11;
  20.         D13 <= D12;
  21.         D14 <= D13;
  22. end

  23. reg D23,D22,D21;
  24. initial {D23,D22,D21} = 3'd0;
  25. always @(negedge CLK) begin
  26.         D21 <= D2;
  27.         D22 <= D21;
  28.         D23 <= D22;
  29.         D24 <= D23;
  30.         D25 <= D24;
  31. end

  32. reg D33,D32,D31;
  33. initial {D33,D32,D31} = 3'd0;
  34. always @(negedge CLK) begin
  35.         D31 <= D3;
  36.         D32 <= D31;
  37.         D33 <= D32;
  38.         D34 <= D33;
  39. end

  40. reg D43,D42,D41;
  41. initial {D43,D42,D41} = 3'd0;
  42. always @(negedge CLK) begin
  43.         D41 <= D4;
  44.         D42 <= D41;
  45.         D43 <= D42;
  46.         D44 <= D43;
  47.         D45 <= D44;
  48. end
  49. endmodule

  50. module main(
  51.         input D1,
  52.         input D2,
  53.         input D3,
  54.         input D4,
  55.         input CLK,
  56.         output D14,
  57.         output D24,
  58.         output D25,
  59.         output D34,
  60.         output D44,
  61.         output D45
  62. );

  63. cd4006 U1(
  64.         .D1(D1),
  65.         .D2(D2),
  66.         .D3(D3),
  67.         .D4(D4),
  68.         .CLK(CLK),
  69.         .D14(D14),
  70.         .D24(D24),
  71.         .D25(D25),
  72.         .D34(D34),
  73.         .D44(D44),
  74.         .D45(D45)
  75. );

  76. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-27 15:07 , Processed in 1.527087 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表