设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 138|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 CD4021/74HC4021 8位并行输入串行3输出异步移位寄存器

[复制链接]
发表于 2023-11-13 19:41:22 | 显示全部楼层 |阅读模式
main.png
  1. module cd4021(                        //8位并行输入串行3输出异步移位寄存器 VCC:Pin16 GND:Pin8
  2.         input SIN,                        //级联串行输入 Pin11
  3.         input [7:0] D,                //[8位宽] 并行输入D Pin:1,15,14,13,4,5,6,7
  4.         input CLK,                        //CLK时钟 (上升沿触发) Pin10
  5.         input PS,                        //高电平:Q=D透明 低电平:允许Q移位 Pin9
  6.         output Q5,                        //串行输出Q5 Pin2
  7.         output Q6,                        //串行输出Q6 Pin12
  8.         output Q7                        //串行输出Q7 Pin13
  9. );

  10. reg [7:0] Q = 8'd0;
  11. wire [7:0] R = PS ? ~D : 8'd0;
  12. wire [7:0] S = PS ? D : 8'd0;
  13. assign {Q7,Q6,Q5} = Q[7:5];
  14. genvar i;
  15. generate
  16. for(i=0;i<8;i=i+1) begin:gen
  17.         always @(posedge R[i] or posedge S[i] or posedge CLK) begin
  18.                 if(R[i]) begin
  19.                         Q[i] <= 1'b0;
  20.                 end else if(S[i]) begin
  21.                         Q[i] <= 1'b1;
  22.                 end else begin
  23.                         Q[i] <= i ? Q[i-1] : SIN;
  24.                 end
  25.         end
  26. end
  27. endgenerate
  28. endmodule

  29. module main(
  30.         input SIN,
  31.         input [7:0] D,
  32.         input CLK,
  33.         input PS,
  34.         output Q5,
  35.         output Q6,
  36.         output Q7
  37. );

  38. cd4021 U1(
  39.         .SIN(SIN),
  40.         .D(D),
  41.         .CLK(CLK),
  42.         .PS(PS),
  43.         .Q5(Q5),
  44.         .Q6(Q6),
  45.         .Q7(Q7)
  46. );
  47. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-27 14:07 , Processed in 0.793045 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表