设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 172|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 74HC03 / 74LS03 集电极(漏极)开路的四组二输入与非门

[复制链接]
发表于 2023-5-25 19:18:13 | 显示全部楼层 |阅读模式
main.png
  1. module hc03(                //集电极(漏极)开路的四组二输入与非门 VCC:Pin14 GND:Pin7
  2.         //1组
  3.         input A1,                //输入A Pin1
  4.         input B1,                //输入B Pin2
  5.         output Y1,                //输出 Pin3
  6.         //2组
  7.         input A2,                //Pin4
  8.         input B2,                //Pin5
  9.         output Y2,                //Pin6
  10.         //3组
  11.         input A3,                //Pin9
  12.         input B3,                //Pin10
  13.         output Y3,                //Pin8
  14.         //4组
  15.         input A4,                //Pin12
  16.         input B4,                //Pin13
  17.         output Y4                //Pin11
  18. );
  19. assign Y1 = (A1 & B1) ? 1'b0 : 1'bz;
  20. assign Y2 = (A2 & B2) ? 1'b0 : 1'bz;
  21. assign Y3 = (A3 & B3) ? 1'b0 : 1'bz;
  22. assign Y4 = (A4 & B4) ? 1'b0 : 1'bz;
  23. endmodule

  24. module main(
  25.         input clk,
  26.         output reg led                //低电平(计算正确)点亮
  27. );

  28. wire Y1,Y2,Y3,Y4;
  29. hc03 U1(
  30.         .A1(1'b1),
  31.         .B1(1'b1),
  32.         .Y1(Y1),
  33.         .A2(1'b1),
  34.         .B2(1'b1),
  35.         .Y2(Y2),
  36.         .A3(1'b1),
  37.         .B3(1'b1),
  38.         .Y3(Y3),
  39.         .A4(1'b1),
  40.         .B4(1'b1),
  41.         .Y4(Y4)       
  42. );
  43. always @(posedge clk) begin
  44.         if({Y1,Y2,Y3,Y4} == 4'b0000) begin
  45.                 led <= 1'b0;
  46.         end else begin
  47.                 led <= 1'b1;
  48.         end
  49. end
  50. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-27 04:11 , Processed in 0.645037 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表