设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 686|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 将 32.768Khz/32768Hz 有源晶振时钟信号15分频到1Hz的方法

[复制链接]
发表于 2022-8-25 18:10:52 | 显示全部楼层 |阅读模式
main.png
  1. module main(
  2.         input clk_32768hz,                //32768Hz 有源晶振时钟信号输入
  3.         output clk_1hz                                //1Hz 时钟信号输出
  4. );

  5. reg [14:0] i;                                //15位变量 用于将32768Hz分频到1Hz
  6. assign clk_1hz = i[14];

  7. always @(posedge clk_32768hz) begin
  8.                 i <= i + 15'b1;
  9. end
  10. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-28 03:15 , Processed in 0.746042 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表