设为首页收藏本站淘宝杂货铺

从F到0 - From F to 0

 找回密码
 注册已关闭
搜索
查看: 599|回复: 0
收起左侧

Quartus Verilog HDL/FPGA 实现 8位1字节Ascii码大小写字母转换模块 (带时钟边沿锁存)

[复制链接]
发表于 2022-11-4 10:59:21 | 显示全部楼层 |阅读模式
main.png
  1. module ascii_case_change(                //大小写字母转换模块 (组合逻辑)
  2.         input [7:0] in,                                //字母Ascii码输入
  3.         input sel,                                                //1:小写到大写 0:大写到小写
  4.         output reg [7:0] out                        //字母Ascii码输出
  5. );

  6. always @(*) begin
  7.         out = in;
  8.         if(sel) begin
  9.                 if(out >= 8'd97 && out <= 8'd122) begin
  10.                         out = out - 8'd32;
  11.                 end
  12.         end else begin
  13.                 if(out >= 8'd65 && out <= 8'd90) begin
  14.                         out = out + 8'd32;
  15.                 end
  16.         end
  17. end
  18. endmodule

  19. module main(
  20.         input clk,                                //下降沿锁存输入,上升沿锁存输出
  21.         //CLK下降沿之前改变输入:
  22.         input sel,                                //1:小写到大写 0:大写到小写
  23.         input [7:0] in,                //8位宽字母Ascii码输入
  24.         //CLK上升沿之后读取输出:
  25.         output reg [7:0] out        //8位宽字母Ascii码输出 (寄存器)
  26. );

  27. initial out = 8'b0;

  28. reg [7:0] rascii;                //字母Ascii码输入寄存器
  29. wire [7:0] wascii;        //字母大小写转换结果线网
  30. reg rsel;                                //字母大小写方向寄存器

  31. ascii_case_change ascii_case_change(                //模块实例化
  32.         .in(rascii),
  33.         .sel(rsel),
  34.         .out(wascii)
  35. );

  36. always @(negedge clk) begin
  37.         rascii <= in;
  38.         rsel <= sel;
  39. end

  40. always @(posedge clk) begin
  41.         out <= wascii;
  42. end

  43. endmodule
复制代码

相关帖子

您需要登录后才可以回帖 登录 | 注册已关闭

本版积分规则

QQ|手机版|Archiver|从F到0 ( 蒙ICP备17002595号-1 )
蒙公网安备15010402000325号

腾讯云安全认证

GMT+8, 2024-4-29 05:10 , Processed in 1.048060 second(s), 22 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2021, Tencent Cloud.

快速回复 返回顶部 返回列表